绿色软件联盟:绿色软件下载
Hi,请  登录  或  注册

Aldec Active-HDL 12.0 - FPGA设计创建和仿真

Aldec, Inc.是FPGA和ASIC设计的混合HDL语言仿真和硬件辅助验证的先驱,已增强Active-HDL以支持VHDL-2019 (IEEE 1076-2019)中的新特性。这些特性简化了语言,解除了早期版本中存在的某些限制,并引入了新的应用程序编程接口(api)。

对开源VHDL验证方法(OSVVM)的支持也增加了。

对OSVVM 2020.08的支持使Active-HDL的用户能够访问免费和开源方法的新需求跟踪、更新脚本、AXI4完整验证组件和模型独立事务。

赞(0)
标题:《Aldec Active-HDL 12.0 - FPGA设计创建和仿真》
链接:https://www.lvruan.com/app/555758
本站资源仅供个人学习交流,请于下载后24小时内删除,不允许用于商业用途,否则法律问题自行承担。

相关推荐

  • 暂无文章

LvRuan.com=绿软=绿盟=绿色软件联盟
情怀第一 18年 老牌 下载站 绿色 安全 无广告 无捆绑

评论 抢沙发

登录

找回密码

注册